账号:
密码:
相关对象共 2084
(您查阅第 5 页数据, 超过您的权限, 请免费注册成为会员后, 才能使用!)
进入High-NA EUV微影时代 (2024.09.19)
比利时微电子研究中心(imec)运算技术及系统/运算系统微缩研究计画的资深??总裁(SVP)Steven Scheer探讨imec与艾司摩尔(ASML)合建的High-NA EUV微影实验室对半导体业的重要性
2025年台湾半导体产值长15.9% 记忆体与AI需求为两大动能 (2024.09.11)
资策会产业情报研究所(MIC)今(11)日发布半导体趋势预测,2024至2025年全球半导体市场持续高度成长,创下2018年以来新高峰,其中,记忆体价格回温与AI需求将为两大成长动能
ASML助制造商简化工序、提高产能 盼2025年降每片晶圆用电30~35% (2024.09.06)
因AI人工智慧驱动半导体需求,全球晶片微影技术领导厂商艾司摩尔(ASML)今(6)日於SEMICON Taiwan 分享新一代高数值孔径极紫外光(High NA EUV)微影技术,并表示将协助晶片制造商简化制造工序、提高产能,并降低每片晶圆生产的能耗
界先进和恩智浦取得核准成立VSMC合资公司 将兴建十二寸晶圆厂 (2024.09.04)
世界先进(VIS)和恩智浦半导体(NXP)已取得相关单位的核准,依计画进行注资,正式成立VisionPower Semiconductor Manufacturing Company(VSMC)合资公司,朝兴建VSMC首座十二寸(300mm)晶圆厂稳步迈进
(内部测试档) (2024.08.26)
Cadence:AI 驱动未来IC设计 人才与市场成关键 (2024.08.23)
Cadence今日於新竹举行CadenceCONNECT Taiwan大会,会中邀请多位产业专家针对当前复杂电子设计提出解决方案与案例分享,特别是在AI技术当道的时代,如何利用AI技术来优化半导体的设计流程,进而提升整体的系统效能也成为今日的焦点
AOI聚焦多元应用场景 (2024.08.22)
由於早在工业4.0问世後,疫情推动数位转型浪潮以来,便已习惯透过各种视/力觉感测系统搜集累积制程中/後段产生的大数据,用来监控品质、预测诊断零组件寿命,乃至於售後维运服务所需的生产履历
研究:全球晶圆代工产业因AI需求推动营收增长 (2024.08.21)
根据Counterpoint Research的晶圆代工季度追踪报告,2024年第二季度全球晶圆代工产业的营收季增约9%,年增约23%,主要受AI需求强劲推动。CoWoS供应持续紧张,未来的产能扩充将集中於CoWoS-L
AI运算方兴未艾 3D DRAM技术成性能瓶颈 (2024.08.21)
HBM非常有未来发展性,特别是在人工智慧和高效能运算领域。随着生成式AI和大语言模型的快速发展,对HBM的需求也在增加。主要的记忆体制造商正在积极扩展采用3D DRAM堆叠技术的HBM产能,以满足市场需求
跨过半导体极限高墙 奈米片推动摩尔定律发展 (2024.08.21)
奈米片技术在推动摩尔定律的进一步发展中扮演着关键角色。 尽管面临图案化与蚀刻、热处理、材料选择和短通道效应等挑战, 然而,透过先进的技术和创新,这些挑战正在逐步被克服
英特尔晶圆代工达新里程 2025年将进行次世代客户端及伺服器晶片生产 (2024.08.07)
英特尔宣布基於Intel 18A制程的AI PC客户端处理器Panther Lake和伺服器处理器Clearwater Forest已经完成制造并成功通电、启动作业系统。英特尔在流片後两个季度内达成这项里程碑,两款产品将按计划於2025年开始量产
SEMICON Taiwan秀台湾聚落实力 先进封装技术与设备成焦点 (2024.08.05)
台湾在半导体制程及封装技术方面位居全球领先地位,拥有多家世界顶尖的晶圆代工、封测厂及全球最完整的半导体聚落,亦带动周边产业链的技术发展。即将於9月4~6日举办的SEMICON Taiwan 2024 国际半导体展
赛默飞世尔科技首间台湾半导体实验室NanoPort开幕 (2024.07.30)
Thermo Fisher Scientific赛默飞世尔科技在台湾开设的首家半导体实验室NanoPort 正式开幕启用,该基地将以帮助半导体制造商优化生产效率、产品品质为旨,将提供在地企业支持,透过尖端科技与技术交流推进在地研发
应材发表新晶片布线技术 实现AI更节能运算 (2024.07.09)
基於现今人工智慧(AI)时代需要更节能的运算,尤其是在晶片布线和堆叠方式对於效能和能耗至关重要。应用材料公司今(9)日於美国SEMICON WEST 2024展会,发表两项新材料工程创新技术,旨在将铜互联电网布线微缩到2奈米及以下的逻辑节点,以协助晶片制造商扩展到埃米时代,来提高电脑系统的每瓦效能
工控资安与资安治理双轴前进 资策会提供数位解方 (2024.07.02)
资策会致力於推广资讯应用技术及培训资讯人才,至2024 年成立届 45 周年,资策会近年来成功转型为提供顾问服务的机构,将於台大医院国际会议中心举办【数位永续净零 创新四通五达】系列论坛活动
智原加入英特尔晶圆代工设计服务联盟 满足客户高阶应用需求 (2024.06.27)
ASIC设计服务暨IP研发销售厂商智原科技(Faraday)宣布加入英特尔晶圆代工设计服务联盟(Intel Foundry Accelerator Design Services Alliance),此合作是ASIC设计解决方案涵盖人工智慧(AI)、高性能运算(HPC)和智慧汽车等领域,满足客户下一代应用的重要里程碑
市场需求上升 全球半导体晶圆厂产能持续攀升 (2024.06.24)
SEMI国际半导体产业协会公布最新一季全球晶圆厂预测报告(World Fab Forecast)中指出,晶片需求不断上升带动全球半导体晶圆厂产能持续成长,2024 年及 2025 年预计将各增加 6% 及 7%,月产能达到创纪录的 3,370 万片晶圆(wpm:约当8寸)历史新高
工研院探讨生成式AI驱动半导体产业 矽光子与先进封装成关键 (2024.06.21)
在工研院连续举办两天的「生成式AI驱动科技产业创新与机遇系列研讨会」第二天(20日)场次,同样由产学专家深度剖析生成式AI带来的半导体产业机会,共涵盖IC设计、制造到封装各阶段,协助业者掌握晶片设计、制造与封装的最新进展,并指出矽光子与先进封装将是未来应用发展关键
AI带来的产业变革与趋势 (2024.06.13)
随着2025年AI PC软硬整合更完备,将成为推动产业复苏的关键动力;AI伺服器受惠於生成式AI大型语言模型、企业内部模型微调等因素导致需求持续上升,成为2024年伺服器市场的主要驱动力
imec助推欧洲晶片法 2奈米晶片试验将获25亿欧元投资 (2024.05.26)
比利时微电子研究中心(imec)於本周举行的2024年全球技术论坛(ITF World 2024),宣布即将推出奈米晶片(NanoIC)试验制程。鉴於欧盟《晶片法案》的发展愿景,该试验制程致力於加速创新、驱动经济成长,并强化欧洲半导体生态系


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10页][最后一页]

  十大热门新闻
1 捷扬光电首款双镜头声像追踪 PTZ 摄影机上市
2 英飞凌全新边缘AI综合评估套件加速机器学习应用开发
3 意法半导体新车规单晶片同步降压转换器让应用设计更弹性化
4 ROHM推出车电Nch MOSFET 适用於车门、座椅等多种马达及LED头灯应用
5 Cincoze德承全新基础型工业电脑DV-1100适用於边缘运算高效能需求
6 意法半导体新款车规直流马达预驱动器可简化EMI优化设计
7 宜鼎率先量产CXL记忆体模组 为AI伺服器与资料中心三合一升级
8 Diodes新款12通道LED驱动器可提升数位看板和显示器效能
9 新唐Arm Cortex-M23内核M2003系列助力8位元核心升级至32位元
10 Bourns新款车规级薄膜晶片电阻符合AEC-Q200标准

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw